DOI: 10.22184/1992-4178.2024.239.8.112.119
В ближайшее десятилетие EUV-литография будет использоваться для формирования топологических элементов, измеряемых в нанометрах и ангстремах. Рассматриваются методики однократного и многократного формирования рисунка.
В ближайшее десятилетие EUV-литография будет использоваться для формирования топологических элементов, измеряемых в нанометрах и ангстремах. Рассматриваются методики однократного и многократного формирования рисунка.
Теги: euv lithography technology high numerical aperture (na) patterning techniques высокая числовая апертура (na) методики формирования рисунка технология euv-литографии
EUV-литография: что ожидается в 2025 году?
М. Макушин
Литография, использующая наиболее коротковолновую часть ультрафиолетовой области спектра (extreme ultraviolet), с длиной волны излучения 13,5 нм, получила наименование EUV-литографии.
В ближайшее десятилетие, если не больше, она будет использоваться для формирования наименьших топологических элементов, измеряемых в считанных нанометрах и ангстремах. В настоящее время производители ИС используют установки EUV-литографии с числовой апертурой 0,33, в конце 2024 или в 2025 году ожидается появление установок
с числовой апертурой 0,55. В данной статье с различных точек зрения рассматриваются оба подхода.
Технология EUV-литографии должна была внедряться в массовое производство с 1995 года на уровне проектных норм 90 нм. Однако, из-за проблем с качеством резистов, мощностью источника излучения установок литографии и производительности этих установок, первая линия с проектными нормами 7 нм была впервые введена в эксплуатацию только в конце 2019 года корпорацией Samsung. Серийное производство началось в 2020 году.
Первоначально использовались (и продолжают использоваться) установки EUV-литографии с числовой апертурой (NA) 0,33 и однократным формированием рисунка. NA – xарактеристика объектива установки литографии, описывающая его способность собирать свет и противостоять дифракционному размытию деталей изображения. Повышение значения NA позволяет формировать более миниатюрные топологические элементы с меньшим расстоянием между ними, а в случае формирования канавок – более глубокие канавки с большим отношением глубины к ширине в верхней части. Специалисты корпорации ASML, пока что монопольного изготовителя EUV-установок, утверждают, что однократное формирование рисунка может осуществляться на топологиях до 2 нм, но для этого потребуются установки с NA = 0,55. Появление промышленных образцов оборудования для 3-нм топологий ожидается в 2024–2025 годах. Они будут сложнее и дороже, чем установки с NA = 0,33, несмотря на то, что для обоих типов установок пока предполагается использовать один источник излучения.
Опытные образцы установок с NA = 0,55 пока демонстрируют меньшую (по сравнению с установками с NA = 0,33) глубину фокусировки, худший контроль поляризации объективов. Для EUV-установок с высокой NA также сложнее изготавливать шаблоны и требуется более чувствительный резист (для формирования структур с высоким аспектным отношением). Еще один текущий недостаток – производительность. Если сейчас установки с NA = 0,33, использующиеся в серийном производстве ИС корпорацией Samsung, обрабатывают почти 180 пластин диаметром 300 мм в час, то у опытных установок с NA = 0,55 этот показатель по состоянию на конец 2023 года не превышал 135 пластин в час. Вопрос о том, потребуется ли когда-нибудь использование методик многократного формирования рисунка при использовании EUV-установок с NA = 0,55 пока не обсуждается в научном сообществе [1].
Начало использования EUV-литографии не принесло избавления от роста затрат и длительности производственного цикла, связанных с использованием методик двойногоi и многократного формирования рисунка. Именно это происходило на предшествующем поколении технологий литографии. При переходе к проектным нормам 5 нм и менее и для EUV-литографии с NA = 0,33 потребовались методики двойного (а в перспективе и многократного) формирования рисунка. Дальнейшее совершенствование EUV-литографии связано как с новыми методиками формирования шаблонов, позволяющих в ряде случаев использовать вместо двукратного однократное формирование рисунка, так и с освоением установок EUV-литографии с увеличенным значением числовой апертуры (NA = 0,55). Кроме того, активно ведутся работы по совершенствованию резистов, средств и методов метрологии, ряду других направлений [2].
Что касается перспектив рынка оборудования EUV-литографии, то его целевыми сегментами рынка полупроводниковых приборов являются ИС для приложений искусственного интеллекта (ИИ), 5G-сетей/средств связи и Интерната вещей. Причина – на данный момент
и в перспективе 7–10 лет именно оборудование EUV-литографии будет способно производить ИС с минимальными и постоянно уменьшающимися проектными нормами, характеризующимися высокими производительностью и энергоэффективностью. В результате этого, по оценкам исследовательской корпорации Verified Market Research, рынок установок EUV-литографии вырастет с 9,42 млрд долл. в 2023 году до 40,76 млрд долл. в 2031 году [3].
Какая числовая апертура лучше?
Три ведущих кремниевых завода планируют внедрить EUV-литографию с высокой NA для технологического поколения 18 Å уже в 2025 году. Но решение вопроса замены методики двойного формирования рисунка EUV-литографии с NA = 0,33 на методику однократного формирования рисунка с высокой NA зависит от того, какая из них обеспечит лучшие результаты при разумных издержках на одну обрабатываемую пластину.
Пока 2024 год был удачным для EUV-литографии с высокой NA. Корпорация Intel Foundry начала получать EUV-установки с высокой NA. Такие корпорации, как Intel, ASML, IBM и TSMC, а также IMEC (Межуниверситетский центр микроэлектроники, Лёвен, Бельгия), активно работают над технологиями этажированных резистов и EUV-шаблонов, а также над технологическими процессами для нового сканера. В феврале этого года на конференции SPIE (Международное общество оптики и фотоники) IMEC сообщил о достижении разрешающей способности между токопроводящими линиями и изолирующими промежутками в 16 нм при использовании химически усиленного резиста (CAR). При этом при использовании металло-оксидного резиста (MOR) и формирования рисунка с использованием высокой NA было достигнуто разрешение в 10 нм (рис. 1).
В июле 2024 года на технологическом форуме Semicon West эксперты в области литографии корпораций ASML, IBM, Lam Research, TEL, а также IMEC обсудили достижения и, в частности, повышение производительности установок EUV-литографии с высокой NA. По итогам дискуссии, а также учитывая данные других источников, представляется вероятным, что заводы по обработке пластин с применением EUV-литографии готовы внедрить:
Все это будет осуществляться для обеспечения перехода перспективных больших систем-на-кристалле (SoC) с ИИ и ИС ускорителей ИИ от 2-нм приборного уровня (шаг 22 нм) к уровню 10 Å (шаг 18 нм, критические размеры 9 нм). Ожидается, что производители ДОЗУ освоят применение EUV-литографии с высокой NA на технологическом уровне 10 Å [4].
Как известно, последняя редакция Международной маршрутной карты развития [полупроводниковых] приборов и систем (International Roadmap for Devices and Systems, IRDS, издается с 2016 года, заменила Международную маршрутную карту развития полупроводниковых приборов, International Roadmap for Semiconductors, ITRS, издававшуюся с 1991 года), охватывающая период до 2039 года, четко показывает необходимость снижения критических размеров до уровня значительно ниже 20 нм
и, возможно, до 14 и даже 10 нм к концу следующего десятилетия. Технология EUV-литографии с высокой NA уже продемонстрировала достаточно высокую производительность и выход годных, в том числе при формировании токопроводящих линий и изолирующих промежутков 20-нм топологического рисунка, а также сквозных межсоединений диаметром 30 нм. Кроме того, при формировании токопроводящих линий и изолирующих промежутков 28-нм топологического рисунка были достигнуты глубина фокусировки более 50 нм и воспроизводимость критических размеров с точностью примерно 0,6 нм [5].
Отраслевые специалисты отмечают важность контроля как за изменчивостью параметров технологического процесса при формировании рисунка, так и возможности сформировать в первую очередь небольшой элемент. В настоящее время масштабирование практически достигло пределов современных возможностей полупроводникового производства из-за ошибки установки угла кристалла в заданное положение (edge placement error, EPE).
Сложившееся положение в целом описывается популярным треугольником RLS, иллюстрирующим соотношение между разрешением – R, неравномерностью краев линии резиста (line edge roughness, LER) – L (данная величина в некоторых случаях также является показателем дефектности и выхода годных), чувствительностью или скоростью – S. По сути, достичь приемлемых результатов можно по любым двум из этих показателей, но наиболее сложной задачей является достижение нужных результатов по всем трем. Достижение результатов, зависящих только от разрешения и LER, часто сопряжено с замедлением работы установок литографии, и отраслевые специалисты хотят добиться как можно большего именно в этом плане [6].
Переломный момент для высокой
числовой апертуры: где он?
Заводы по обработке пластин обычно приурочивают переход на новые установки литографии к тому моменту, когда технологический императив приходит в соответствие с таким показателем, как прибыль на инвестированный капитал (return on investment, ROI). Ключевой фактор масштабирования (размеров полупроводниковых приборов) определяется хорошо известным уравнением Рэлея: R = k1λ/NA2, согласно которому значительное масштабирование разрешающей способности установки литографии достигается при использовании объективов большего размера и с меньшей длиной волны.
В ожидании достижения EUV-литографией зрелости и конкурентоспособной стоимости (примерно то, что наблюдается сейчас) на уровне 193-нм иммерсионной литографии использовались технологии двойного и многократного формирования рисунка. EUV-литография с высокой NA позволит использовать на логическом процессе A14
(1,4 нм) однократное формирование рисунка вместо сложных, дорогостоящих и более длительных методов многократного формирования рисунка [7].
Недавние опытные прогоны пластин на EUV-установках с высокой NA показали, что этот подход пригоден для создания нанолистовых полевых транзисторов на каналах с круговым затвором (nanosheet gate-all-around FET, NS GAA FET). Непосредственное формирование рисунка на нанолистах обеспечивает гибкость конструкции и эффективное масштабирование длины затвора при производительности, сравнимой с производительностью, достигаемой при использовании методик SADPii/SAQPiii. При этом при подходе NS GAA FET одним из ключевых элементов масштабирования является установка на концах ячеек одиночного диффузионного разрыва (single diffusion break, SDB). Это обеспечивает гибкость конструкции, аналогичную гибкости конструкции «плавниковых» полевых транзисторов (FinFET).
Высокое значение NA позволяет уменьшать расстояние между элементами структур. Уменьшению этого расстояния также могут помочь платформы металло-оксидного резиста (MOR resist), обеспечивающие более высокую производительность, чем при использовании платформ химически усиленного резиста (CAR). Тем не менее, для соответствия спецификации 20 нм процесса, необходимы специализированные шаблоны.
Но самая большая проблема установок EUV-литографии с NA = 0,55, по-видимому, связана с меньшим размером поля экспонирования. Объектив в оптике EUV-литографии с высокой NA уменьшает изображение на шаблоне в четыре и восемь раз по осям X–Y (анаморфная линза).
Соответственно, размер поля на пластине в два раза меньше, чем при EUV-литографии с NA = 0,33 и оптической 193-нм литографии (26 × 16,5 мм и 26 × 33 мм соответственно). В современном производстве ИС установки EUV-литографии предназначены только для формирования элементов с критическими (наименьшими) размерами. Для формирования элементов с бόльшими проектными нормами могут использоваться установки с NA = 0,33 или установки 193-нм литографии. Соответственно, поля экспонирования разных размеров должны быть точно сшиты друг с другом на этапе автоматизированного проектирования.
Внутрикристальная сшивка – трудная задача. При этом оптимизация сшивки с учетом конструкции ИС, шаблонов, коррекции эффекта оптической близости (optical proximity correction, OPC), процесса и настроек установки литографии – это всего лишь одна из задач, требующих особого внимания. Поскольку кристаллы СОЗУ достигли пределов масштабирования и более не уменьшаются (СОЗУ может занимать до 50% площади SoC), избежать применения EUV с высокой NA и сшивания можно только за счет этажированных чиплетных iv решений.
Другими словами, ряд проблем возникает из-за необходимости совмещения слоев, формируемых EUV-установкой с NA = 0,55 и полнопольных слоев, получаемых на установке с NA = 0,33 (или 193-нм литографе). К этим проблемам в частности относятся ошибки совмещения между блоками и этажеркой слоев металлизации [8].
IMEC и его технологические партнеры разрабатывают методы сшивания в масштабе поля экспонирования, уменьшающих потребность в конструкциях, позволяющих справиться с проблемой уменьшения размера поля. Другая технология, которую IMEC разрабатывает для EUV с NA = 0,55, предполагает использование методики непосредственной самосборки (directed self assembly, DSA) с использованием блок-сополимеров (применяется отдельно или в сочетании с EUV-литографией). Эта методика используется для уменьшения LER/LWR (line width roughness – неравномерность ширины линии, различных дефектов и снижения дозы облучения. Первый шаг включает в себя масштабирование структуры DSA с шагом менее 24 нм с переходом от используемых в настоящее время в отрасли блок-сополимеров типа PS-b-PMMA к так называемым high-χ блок-сополимерам.
IMEC также продемонстрировал успехи в разработке поглощающей маски с низкой диэлектрической проницаемостью (low-n mask absorber), позволяющей повысить производительность за счет расширения окна процессаv и снижения дозы на 20% для формирования токопроводящих линий и изолирующих промежутков без увеличения LER/LWR или стохастических отказов (рис. 2). Эта научно-исследовательская организация также обнаружила, что уменьшение дозы облучения не оказало негативного влияния на расстояния между краями элементов структур, что напрямую влияет на производительность установки литографии. Также ведется работа по еще большему снижению LWR/LER на шаблонах, поскольку при переносе рисунка на пластину эти дефекты увеличиваются [7].
Литографическая установка с NA = 0,55 была разработана с целью повышения производительности, включая ускоренную быструю работу с промежуточными шаблонами и пластинами. Она оснащена более мощным источником излучения на основе CO2 лазера и характеризуется более эффективным преобразованием фотонов, что позволяет увеличить ее производительность.
Создавая эту установку с высокой NA, специалисты ASML для повышения общей производительности в первую очередь ставили целью увеличение мощности источника лазерного излучения, а также частоты повторения импульсов источника. В новой установке источник EUV излучения разгоняет отдельные капли олова по вакуумной камере, где на них воздействуют мощные ИК-лазеры. На современных EUV-установках частота повторения импульсов составляет 50 кГц, а в рассматриваемой EUV-установке ASML с NA = 0,55 этот показатель достигает 62 кГц. Увеличение мощности источника излучения до 500 Вт позволяет обрабатывать в час 220 пластин.
Помимо прочего, отраслевые специалисты продолжают вести работы по снижению удельного энергопотребления EUV-установок. С каждым поколением EUV-литографов энергопотребление на одну обрабатываемую пластину снижается: в 2023 году потребление энергии на одну обработанную (экспонированную) пластину составило 42% от энергопотребления 2018 года [5].
Снижение стохастических эффектов
По мере масштабирования топологических элементов неравномерности становятся все большей частью общего размера топологического элемента. Так, на технологическом уровнеvi 3 нм LER и LWR могут составлять до 50% EPE. Допустимая EPE на 2-нм технологическом уровне составляет от 5 до 6 нм, а на технологическом уровне 1 нм она, как ожидается, снизится до 4,5 нм (рис. 3).
Такая изменчивость параметров технологического процесса приводит к сбоям в электроснабжении из-за возникновения перемычек между линиями, разрывов отдельных линий, замыкания межслойных отверстий или слияния соседних межслойных отверстий. В результате специалисты в области процессов литографии и травления непрерывно разрабатывают стратегии снижения EPE, что является общей тенденцией, охватывающей совмещение (взаимное смещение слоев), воспроизводимость критических размеров и стохастические явления [4].
Еще один способ взглянуть на эту проблему – рассмотреть ее с точки зрения практичного окна процесса. Процесс формирования рисунка с высоким процентом выхода годных определяется так называемой шириной безотказности. Этот параметр подразумевает наименьший топологический элемент, который можно сформировать без видимого разрыва линий, и наибольший топологический элемент, формируемый без видимого слияния линий. Он характеризуется наличием как окна глубины резкости (depth of field, DOF), так и окна экспонирования.
Во многих случаях при масштабировании шага элементов ИС «ширина безотказности» стремится к нулю. Соответственно для продолжения масштабирования отраслевые специалисты стремятся к совместной оптимизации сухого MOR и лежащего под ним слоя – с целью уменьшения различий между их разрешающей способностью, чувствительностью и LER. Подлежащий слой помогает ускорить активацию резиста во время последующей обработки [6].
Какие резисты лучше?
Наносимые методом центрифугирования химически усиленные резисты (CAR) – это «рабочая лошадка» формирования рисунка методами 193-нм иммерсионной литографии и EUV-литографии. Однако в последние годы на сцену вышли сухие резисты с новыми химическими составами – так называемые резисты на основе оксидов металлов (MOR). Эти резисты в центрифугированной форме предлагаются компанией JSR (ранее Inpria), а корпорацией Lam Research – в виде сухих систем [4].
Преимущества процессов с использованием сухих резистов (получаемых ХОПФ-процессом) заключаются в более высокой поглощающей способности по сравнению с органическими материалами и ограниченной возможности разрушения рисунка. Существует также возможность оптимизации последующего травления при переносе (как in situ, так и ex situ) – для устранения таких факторов, как дефекты и LWR. И это легко настраивается с точки зрения толщины или даже изменения технологического процесса от верхней части резиста к нижней.
C использованием сухого резиста на EUV-установке с NA = 0,33 были выполнены 12-нм токопроводящие линии и изолирующие промежутки (с шагом 24 нм). Дополнительным плюсом является то, что при использовании сухого резиста объем отходов материала может быть в 5–10 раз меньше по сравнению с нанесением фоторезиста методом центрифугирования. Стек формирования рисунка включает в себя резист, подлежащий слой и жесткую маскуvii. Эта маска может представлять собой нанесенный на кремний слой нитрида кремния, оксикарбида кремния (SiOC) или углерода, полученного плазмохимическим осаждением из паровой фазы (plasma-enhanced chemical vapor deposition, PECVD).
Что касается процесса травления при переносе в слой твердой маски, корпорация Lam Research недавно разработала более мощный источник плазмы, который обеспечивает более эффективную диссоциацию частиц в плазме. Причина, по которой травление при переносе рисунка так важно, заключается в том, что это травление используется для исправления некоторых случайных дефектов, возникающих при переносе [6].
Еще одно новшество в технологии травления заключается в изменении угла между 300-мм пластиной и источником плазмы. Так называемое боковое или угловое травление позволяет сократить расстояние между краями элементов ИС без использования по отдельности этапов экспонирования специального шаблона и травления. Такое травление также может уменьшить вариабельность расстояний между краями элементов ИС, тем самым повышая выход годных [4].
Японская корпорация TEL разработала процесс направленной модификации критических размеров с использованием нового источника травления с наклоном относительно обрабатываемой пластины. Изменяя угол наклона в системе, можно регулировать скорость бокового травления для получения овалов или других структур без необходимости применения методики двойного формирования рисунка. Новый источник также предназначен для сокращения дефектов образования локаций непроявленного резиста и шероховатости (неравномерности) структуры рисунка [3].
Но более существенным результатом применения таких систем может быть уменьшение дефектов шероховатости и стохастических явлений (которые невозможно устранить другими способами) при одновременном обеспечении возможности расширения формирования топологических элементов по оси Y. Луч углового травления применяется для сокращения промежутков между краями токопроводящих линий и уменьшения шероховатости структуры рисунка. Специалисты IMEC, также разрабатывающие подобные системы, называют эту методику «транс-паттернированием» (trans-patterning). Потенциально ее можно использовать для растягивания контактного отверстия в овальную структуру или даже
в линию. При этом не только изменяется форма элементов структуры, но и сокращается шаг между ними – наподобие игрушек-трансформеров (отсюда и название). И это только начало исследований, связанных с преобразованием структур рисунков, поскольку ценность
и осуществимость этого подхода все еще находятся в стадии изучения с точки зрения технологического процесса, соответствия механизма коррекции эффекта оптической близости и экономической эффективности [7].
Еще одну модификацию процесса обработки резиста продемонстрировала корпорация LAM Research. Она включает в себя использование слоя селективной пассивации с последующим травлением для уменьшения LER и LWR. Описан случай удаления небольших пятен непроявленного резиста у основания линий. Подобные дефекты устраняются благодаря использованию нового источника травления и нанесению пассивирующего слоя, который хорошо прилегает к полностью сформированным линиям резиста, но плохо к участкам непроявленного резиста. Процесс пассивации также помогает сгладить дефекты LER и LWR [6].
Корпорация TEL представила результаты работ с нанесением MOR на поверхность методом центрифугирования. Было продемонстрировано, что (особенно с новым химическим составом проявителя) можно улучшить окно процесса и уменьшить неравномерности на структурах токопроводящих линий и изолирующих промежутках с шагом 24 нм. По сравнению с CAR-резистами, MOR также обладает большей устойчивостью к разрушению рисунка при меньшем размере топологических элементов. Однако, тонкий резист, необходимый для EUV-литографии с высоким значением NA, легче подвержен стохастическим дефектам [3].
Отмечается, что лежащий под EUV-резистом слой играет решающую роль в масштабируемости процесса. Для облегчения переноса рисунка с шаблона на пластину подлежащие слои должны обеспечивать более высокую стойкость к травлению, чем их предшественники – еще и при утонении этих слоев. Кроме того, подложка должна хорошо прилегать к твердым маскам и силикону, обеспечивая при этом широкое окно процесса без увеличения дефектности [4].
Криволинейные структуры
Вполне вероятно, что возникнет потребность в криволинейных структурах, так как дальнейшее масштабирование полупроводниковых приборов требует сокращения длины соединений между ними, а также между входящими в них элементами. Преимущество криволинейных структур по сравнению с другими структурами в том, что они обеспечивают более короткие связи между слоями и линиями в одной плоскости.
Специалисты IMEC на основе своих работ утверждают, что использование криволинейных форм при проектировании может снизить издержки производства и повысить мощность и производительность кристалла ИС. Кроме того, они планируют использовать криволинейные конструкции для увеличения плотности размещения транзисторов. Разработан метод использования криволинейного проектирования в стандартной ячейке с использованием конструктивных решений, таких как применение проектных норм и поиск решений [7].
Доступность практически неограниченных вычислительных ресурсов обеспечивает процесс формирования EUV-шаблонов. При использовании многолучевых установок формирования шаблонов значительно ускоряются процессы коррекции эффекта оптической близости. Криволинейный шаблон может быть сформирован за то же время, что и ортогональный.
Эксперты указывают, что отрасль, возможно, приближается к переломному моменту в разработке криволинейных рисунков шаблонов, сокращающих расстояния между межсоединениями и потенциально снижающих издержки производства. Криволинейные узоры уже сегодня используются в производственных шаблонах как для 193-нм иммерсионной, так и для EUV-литографии.
Однако разные компании используют их по-разному. Некоторые используют их только в тех горячих точках на кристалле ИС, где это необходимо.
Полная реализация криволинейных рисунков в кристаллах/на пластинах будет обеспечена благодаря стандартной интеграции инструментальных средств САПР.
Но сначала необходимо сформулировать общепринятый подход к измерению воспроизводимости криволинейных линий. В случае программных средств коррекции необходимо внести коррективы, обеспечивающие получение фактической формы такой, какой она была спроектирована.
Тем не менее, заводы по обработке пластин продвигаются вперед. На недавнем техническом форуме корпорации Synopsys крупнейший в мире кремниевый завод (контрактное изготовление ИС), корпорация TSMC, представила обширную инфраструктуру графических процессоров, их большое число, а также планы по дальнейшему расширению возможностей в этой области благодаря преимуществам графических процессоров, особенно для приборов, изготовляемых с применением шаблонов с криволинейными рисунками [4].
***
В области новейших технологических процессов и минимальных проектных норм наступает интересное. Появляется возможность формировать и контролировать 10-нм топологические элементы. Что касается технологических уровней от 18 до 14 Å, то EUV-литография с NA = 0,33 по всей видимости будет использоваться как можно дольше за счет применения методик многократного формирования рисунка, а также благодаря многочисленным эволюционным достижениям в области инструментальных средств, материалов и шаблонов, синергетически применяемых для формирования структур рисунков и травления.
Похоже, полупроводниковая промышленность вскоре внедрит новые платформы резистов, основанные на использовании MOR, подлежащих им слоев и процессах проявления – жидкостных, сухих или и тех, и других. Эти платформы будут применяться совместно с новыми платформами травления, что позволит и дальше уменьшать критические размеры при одновременном сокращении числа стохастических явлений (дефектов).
В случае EUV-литографии с NA = 0,55 методика внутрикристальной «сшивки» находится в зачаточном состоянии. Это совершенно новая технология, основанная на использовании анаморфотных объективов и новых поглощающих материалов в шаблоне, как ожидается, расширит окно процесса. Похоже, что каждая разработка, повышающая производительность и пропускную способность без ухудшения других ключевых показателей, найдет свое применение в производстве.
ЛИТЕРАТУРА
Макушин М., Мартынов В. Производственные технологии микроэлектроники: проблемы развития. Часть 2 // ЭЛЕКТРОНИКА: Наука, Технология, Бизнес. 2020. №4 (00196). С. 120–127.
Макушин М. Микроэлектроника: развитие производственной базы, продажи оборудования
и EUV-литография // ЭЛЕКТРОНИКА: Наука, Технология, Бизнес. 2023. №3 (00224). C. 116–129.
EUV Lithography Market Valuation – 2024–2031 // Verified Market Research. Report ID: 9079. Published Date: Mar 2024.
Peters L. Key Technologies To Extend EUV To 14 Angstroms // Semiconductor Engineering. July 29th, 2024.
Sterling T. ASML's next chip challenge: rollout of its new $350 mln 'High NA EUV' machine //
Reuters. February 9. 2024.
Non Chemically Amplified EUV Resist Market, Global Outlook and Forecast 2024–2030 //
Grand research Store. Published On: 29 Mar 2024.
Imec demonstrates readiness of the High-NA EUV patterning ecosystem // IMEC. February 26, 2024.
Meli L. at all. EUV patterned gate variation reduction in next generation transistor architectures //
SPIE Digital Library. 10 April 2024.
М. Макушин
Литография, использующая наиболее коротковолновую часть ультрафиолетовой области спектра (extreme ultraviolet), с длиной волны излучения 13,5 нм, получила наименование EUV-литографии.
В ближайшее десятилетие, если не больше, она будет использоваться для формирования наименьших топологических элементов, измеряемых в считанных нанометрах и ангстремах. В настоящее время производители ИС используют установки EUV-литографии с числовой апертурой 0,33, в конце 2024 или в 2025 году ожидается появление установок
с числовой апертурой 0,55. В данной статье с различных точек зрения рассматриваются оба подхода.
Технология EUV-литографии должна была внедряться в массовое производство с 1995 года на уровне проектных норм 90 нм. Однако, из-за проблем с качеством резистов, мощностью источника излучения установок литографии и производительности этих установок, первая линия с проектными нормами 7 нм была впервые введена в эксплуатацию только в конце 2019 года корпорацией Samsung. Серийное производство началось в 2020 году.
Первоначально использовались (и продолжают использоваться) установки EUV-литографии с числовой апертурой (NA) 0,33 и однократным формированием рисунка. NA – xарактеристика объектива установки литографии, описывающая его способность собирать свет и противостоять дифракционному размытию деталей изображения. Повышение значения NA позволяет формировать более миниатюрные топологические элементы с меньшим расстоянием между ними, а в случае формирования канавок – более глубокие канавки с большим отношением глубины к ширине в верхней части. Специалисты корпорации ASML, пока что монопольного изготовителя EUV-установок, утверждают, что однократное формирование рисунка может осуществляться на топологиях до 2 нм, но для этого потребуются установки с NA = 0,55. Появление промышленных образцов оборудования для 3-нм топологий ожидается в 2024–2025 годах. Они будут сложнее и дороже, чем установки с NA = 0,33, несмотря на то, что для обоих типов установок пока предполагается использовать один источник излучения.
Опытные образцы установок с NA = 0,55 пока демонстрируют меньшую (по сравнению с установками с NA = 0,33) глубину фокусировки, худший контроль поляризации объективов. Для EUV-установок с высокой NA также сложнее изготавливать шаблоны и требуется более чувствительный резист (для формирования структур с высоким аспектным отношением). Еще один текущий недостаток – производительность. Если сейчас установки с NA = 0,33, использующиеся в серийном производстве ИС корпорацией Samsung, обрабатывают почти 180 пластин диаметром 300 мм в час, то у опытных установок с NA = 0,55 этот показатель по состоянию на конец 2023 года не превышал 135 пластин в час. Вопрос о том, потребуется ли когда-нибудь использование методик многократного формирования рисунка при использовании EUV-установок с NA = 0,55 пока не обсуждается в научном сообществе [1].
Начало использования EUV-литографии не принесло избавления от роста затрат и длительности производственного цикла, связанных с использованием методик двойногоi и многократного формирования рисунка. Именно это происходило на предшествующем поколении технологий литографии. При переходе к проектным нормам 5 нм и менее и для EUV-литографии с NA = 0,33 потребовались методики двойного (а в перспективе и многократного) формирования рисунка. Дальнейшее совершенствование EUV-литографии связано как с новыми методиками формирования шаблонов, позволяющих в ряде случаев использовать вместо двукратного однократное формирование рисунка, так и с освоением установок EUV-литографии с увеличенным значением числовой апертуры (NA = 0,55). Кроме того, активно ведутся работы по совершенствованию резистов, средств и методов метрологии, ряду других направлений [2].
Что касается перспектив рынка оборудования EUV-литографии, то его целевыми сегментами рынка полупроводниковых приборов являются ИС для приложений искусственного интеллекта (ИИ), 5G-сетей/средств связи и Интерната вещей. Причина – на данный момент
и в перспективе 7–10 лет именно оборудование EUV-литографии будет способно производить ИС с минимальными и постоянно уменьшающимися проектными нормами, характеризующимися высокими производительностью и энергоэффективностью. В результате этого, по оценкам исследовательской корпорации Verified Market Research, рынок установок EUV-литографии вырастет с 9,42 млрд долл. в 2023 году до 40,76 млрд долл. в 2031 году [3].
Какая числовая апертура лучше?
Три ведущих кремниевых завода планируют внедрить EUV-литографию с высокой NA для технологического поколения 18 Å уже в 2025 году. Но решение вопроса замены методики двойного формирования рисунка EUV-литографии с NA = 0,33 на методику однократного формирования рисунка с высокой NA зависит от того, какая из них обеспечит лучшие результаты при разумных издержках на одну обрабатываемую пластину.
Пока 2024 год был удачным для EUV-литографии с высокой NA. Корпорация Intel Foundry начала получать EUV-установки с высокой NA. Такие корпорации, как Intel, ASML, IBM и TSMC, а также IMEC (Межуниверситетский центр микроэлектроники, Лёвен, Бельгия), активно работают над технологиями этажированных резистов и EUV-шаблонов, а также над технологическими процессами для нового сканера. В феврале этого года на конференции SPIE (Международное общество оптики и фотоники) IMEC сообщил о достижении разрешающей способности между токопроводящими линиями и изолирующими промежутками в 16 нм при использовании химически усиленного резиста (CAR). При этом при использовании металло-оксидного резиста (MOR) и формирования рисунка с использованием высокой NA было достигнуто разрешение в 10 нм (рис. 1).
В июле 2024 года на технологическом форуме Semicon West эксперты в области литографии корпораций ASML, IBM, Lam Research, TEL, а также IMEC обсудили достижения и, в частности, повышение производительности установок EUV-литографии с высокой NA. По итогам дискуссии, а также учитывая данные других источников, представляется вероятным, что заводы по обработке пластин с применением EUV-литографии готовы внедрить:
- этажированные металлооксидные резисты (стеки MOR);
- новые стратегии снижения стохастических эффектов;
- угловое травление;
- возможно, криволинейные маски.
Все это будет осуществляться для обеспечения перехода перспективных больших систем-на-кристалле (SoC) с ИИ и ИС ускорителей ИИ от 2-нм приборного уровня (шаг 22 нм) к уровню 10 Å (шаг 18 нм, критические размеры 9 нм). Ожидается, что производители ДОЗУ освоят применение EUV-литографии с высокой NA на технологическом уровне 10 Å [4].
Как известно, последняя редакция Международной маршрутной карты развития [полупроводниковых] приборов и систем (International Roadmap for Devices and Systems, IRDS, издается с 2016 года, заменила Международную маршрутную карту развития полупроводниковых приборов, International Roadmap for Semiconductors, ITRS, издававшуюся с 1991 года), охватывающая период до 2039 года, четко показывает необходимость снижения критических размеров до уровня значительно ниже 20 нм
и, возможно, до 14 и даже 10 нм к концу следующего десятилетия. Технология EUV-литографии с высокой NA уже продемонстрировала достаточно высокую производительность и выход годных, в том числе при формировании токопроводящих линий и изолирующих промежутков 20-нм топологического рисунка, а также сквозных межсоединений диаметром 30 нм. Кроме того, при формировании токопроводящих линий и изолирующих промежутков 28-нм топологического рисунка были достигнуты глубина фокусировки более 50 нм и воспроизводимость критических размеров с точностью примерно 0,6 нм [5].
Отраслевые специалисты отмечают важность контроля как за изменчивостью параметров технологического процесса при формировании рисунка, так и возможности сформировать в первую очередь небольшой элемент. В настоящее время масштабирование практически достигло пределов современных возможностей полупроводникового производства из-за ошибки установки угла кристалла в заданное положение (edge placement error, EPE).
Сложившееся положение в целом описывается популярным треугольником RLS, иллюстрирующим соотношение между разрешением – R, неравномерностью краев линии резиста (line edge roughness, LER) – L (данная величина в некоторых случаях также является показателем дефектности и выхода годных), чувствительностью или скоростью – S. По сути, достичь приемлемых результатов можно по любым двум из этих показателей, но наиболее сложной задачей является достижение нужных результатов по всем трем. Достижение результатов, зависящих только от разрешения и LER, часто сопряжено с замедлением работы установок литографии, и отраслевые специалисты хотят добиться как можно большего именно в этом плане [6].
Переломный момент для высокой
числовой апертуры: где он?
Заводы по обработке пластин обычно приурочивают переход на новые установки литографии к тому моменту, когда технологический императив приходит в соответствие с таким показателем, как прибыль на инвестированный капитал (return on investment, ROI). Ключевой фактор масштабирования (размеров полупроводниковых приборов) определяется хорошо известным уравнением Рэлея: R = k1λ/NA2, согласно которому значительное масштабирование разрешающей способности установки литографии достигается при использовании объективов большего размера и с меньшей длиной волны.
В ожидании достижения EUV-литографией зрелости и конкурентоспособной стоимости (примерно то, что наблюдается сейчас) на уровне 193-нм иммерсионной литографии использовались технологии двойного и многократного формирования рисунка. EUV-литография с высокой NA позволит использовать на логическом процессе A14
(1,4 нм) однократное формирование рисунка вместо сложных, дорогостоящих и более длительных методов многократного формирования рисунка [7].
Недавние опытные прогоны пластин на EUV-установках с высокой NA показали, что этот подход пригоден для создания нанолистовых полевых транзисторов на каналах с круговым затвором (nanosheet gate-all-around FET, NS GAA FET). Непосредственное формирование рисунка на нанолистах обеспечивает гибкость конструкции и эффективное масштабирование длины затвора при производительности, сравнимой с производительностью, достигаемой при использовании методик SADPii/SAQPiii. При этом при подходе NS GAA FET одним из ключевых элементов масштабирования является установка на концах ячеек одиночного диффузионного разрыва (single diffusion break, SDB). Это обеспечивает гибкость конструкции, аналогичную гибкости конструкции «плавниковых» полевых транзисторов (FinFET).
Высокое значение NA позволяет уменьшать расстояние между элементами структур. Уменьшению этого расстояния также могут помочь платформы металло-оксидного резиста (MOR resist), обеспечивающие более высокую производительность, чем при использовании платформ химически усиленного резиста (CAR). Тем не менее, для соответствия спецификации 20 нм процесса, необходимы специализированные шаблоны.
Но самая большая проблема установок EUV-литографии с NA = 0,55, по-видимому, связана с меньшим размером поля экспонирования. Объектив в оптике EUV-литографии с высокой NA уменьшает изображение на шаблоне в четыре и восемь раз по осям X–Y (анаморфная линза).
Соответственно, размер поля на пластине в два раза меньше, чем при EUV-литографии с NA = 0,33 и оптической 193-нм литографии (26 × 16,5 мм и 26 × 33 мм соответственно). В современном производстве ИС установки EUV-литографии предназначены только для формирования элементов с критическими (наименьшими) размерами. Для формирования элементов с бόльшими проектными нормами могут использоваться установки с NA = 0,33 или установки 193-нм литографии. Соответственно, поля экспонирования разных размеров должны быть точно сшиты друг с другом на этапе автоматизированного проектирования.
Внутрикристальная сшивка – трудная задача. При этом оптимизация сшивки с учетом конструкции ИС, шаблонов, коррекции эффекта оптической близости (optical proximity correction, OPC), процесса и настроек установки литографии – это всего лишь одна из задач, требующих особого внимания. Поскольку кристаллы СОЗУ достигли пределов масштабирования и более не уменьшаются (СОЗУ может занимать до 50% площади SoC), избежать применения EUV с высокой NA и сшивания можно только за счет этажированных чиплетных iv решений.
Другими словами, ряд проблем возникает из-за необходимости совмещения слоев, формируемых EUV-установкой с NA = 0,55 и полнопольных слоев, получаемых на установке с NA = 0,33 (или 193-нм литографе). К этим проблемам в частности относятся ошибки совмещения между блоками и этажеркой слоев металлизации [8].
IMEC и его технологические партнеры разрабатывают методы сшивания в масштабе поля экспонирования, уменьшающих потребность в конструкциях, позволяющих справиться с проблемой уменьшения размера поля. Другая технология, которую IMEC разрабатывает для EUV с NA = 0,55, предполагает использование методики непосредственной самосборки (directed self assembly, DSA) с использованием блок-сополимеров (применяется отдельно или в сочетании с EUV-литографией). Эта методика используется для уменьшения LER/LWR (line width roughness – неравномерность ширины линии, различных дефектов и снижения дозы облучения. Первый шаг включает в себя масштабирование структуры DSA с шагом менее 24 нм с переходом от используемых в настоящее время в отрасли блок-сополимеров типа PS-b-PMMA к так называемым high-χ блок-сополимерам.
IMEC также продемонстрировал успехи в разработке поглощающей маски с низкой диэлектрической проницаемостью (low-n mask absorber), позволяющей повысить производительность за счет расширения окна процессаv и снижения дозы на 20% для формирования токопроводящих линий и изолирующих промежутков без увеличения LER/LWR или стохастических отказов (рис. 2). Эта научно-исследовательская организация также обнаружила, что уменьшение дозы облучения не оказало негативного влияния на расстояния между краями элементов структур, что напрямую влияет на производительность установки литографии. Также ведется работа по еще большему снижению LWR/LER на шаблонах, поскольку при переносе рисунка на пластину эти дефекты увеличиваются [7].
Литографическая установка с NA = 0,55 была разработана с целью повышения производительности, включая ускоренную быструю работу с промежуточными шаблонами и пластинами. Она оснащена более мощным источником излучения на основе CO2 лазера и характеризуется более эффективным преобразованием фотонов, что позволяет увеличить ее производительность.
Создавая эту установку с высокой NA, специалисты ASML для повышения общей производительности в первую очередь ставили целью увеличение мощности источника лазерного излучения, а также частоты повторения импульсов источника. В новой установке источник EUV излучения разгоняет отдельные капли олова по вакуумной камере, где на них воздействуют мощные ИК-лазеры. На современных EUV-установках частота повторения импульсов составляет 50 кГц, а в рассматриваемой EUV-установке ASML с NA = 0,55 этот показатель достигает 62 кГц. Увеличение мощности источника излучения до 500 Вт позволяет обрабатывать в час 220 пластин.
Помимо прочего, отраслевые специалисты продолжают вести работы по снижению удельного энергопотребления EUV-установок. С каждым поколением EUV-литографов энергопотребление на одну обрабатываемую пластину снижается: в 2023 году потребление энергии на одну обработанную (экспонированную) пластину составило 42% от энергопотребления 2018 года [5].
Снижение стохастических эффектов
По мере масштабирования топологических элементов неравномерности становятся все большей частью общего размера топологического элемента. Так, на технологическом уровнеvi 3 нм LER и LWR могут составлять до 50% EPE. Допустимая EPE на 2-нм технологическом уровне составляет от 5 до 6 нм, а на технологическом уровне 1 нм она, как ожидается, снизится до 4,5 нм (рис. 3).
Такая изменчивость параметров технологического процесса приводит к сбоям в электроснабжении из-за возникновения перемычек между линиями, разрывов отдельных линий, замыкания межслойных отверстий или слияния соседних межслойных отверстий. В результате специалисты в области процессов литографии и травления непрерывно разрабатывают стратегии снижения EPE, что является общей тенденцией, охватывающей совмещение (взаимное смещение слоев), воспроизводимость критических размеров и стохастические явления [4].
Еще один способ взглянуть на эту проблему – рассмотреть ее с точки зрения практичного окна процесса. Процесс формирования рисунка с высоким процентом выхода годных определяется так называемой шириной безотказности. Этот параметр подразумевает наименьший топологический элемент, который можно сформировать без видимого разрыва линий, и наибольший топологический элемент, формируемый без видимого слияния линий. Он характеризуется наличием как окна глубины резкости (depth of field, DOF), так и окна экспонирования.
Во многих случаях при масштабировании шага элементов ИС «ширина безотказности» стремится к нулю. Соответственно для продолжения масштабирования отраслевые специалисты стремятся к совместной оптимизации сухого MOR и лежащего под ним слоя – с целью уменьшения различий между их разрешающей способностью, чувствительностью и LER. Подлежащий слой помогает ускорить активацию резиста во время последующей обработки [6].
Какие резисты лучше?
Наносимые методом центрифугирования химически усиленные резисты (CAR) – это «рабочая лошадка» формирования рисунка методами 193-нм иммерсионной литографии и EUV-литографии. Однако в последние годы на сцену вышли сухие резисты с новыми химическими составами – так называемые резисты на основе оксидов металлов (MOR). Эти резисты в центрифугированной форме предлагаются компанией JSR (ранее Inpria), а корпорацией Lam Research – в виде сухих систем [4].
Преимущества процессов с использованием сухих резистов (получаемых ХОПФ-процессом) заключаются в более высокой поглощающей способности по сравнению с органическими материалами и ограниченной возможности разрушения рисунка. Существует также возможность оптимизации последующего травления при переносе (как in situ, так и ex situ) – для устранения таких факторов, как дефекты и LWR. И это легко настраивается с точки зрения толщины или даже изменения технологического процесса от верхней части резиста к нижней.
C использованием сухого резиста на EUV-установке с NA = 0,33 были выполнены 12-нм токопроводящие линии и изолирующие промежутки (с шагом 24 нм). Дополнительным плюсом является то, что при использовании сухого резиста объем отходов материала может быть в 5–10 раз меньше по сравнению с нанесением фоторезиста методом центрифугирования. Стек формирования рисунка включает в себя резист, подлежащий слой и жесткую маскуvii. Эта маска может представлять собой нанесенный на кремний слой нитрида кремния, оксикарбида кремния (SiOC) или углерода, полученного плазмохимическим осаждением из паровой фазы (plasma-enhanced chemical vapor deposition, PECVD).
Что касается процесса травления при переносе в слой твердой маски, корпорация Lam Research недавно разработала более мощный источник плазмы, который обеспечивает более эффективную диссоциацию частиц в плазме. Причина, по которой травление при переносе рисунка так важно, заключается в том, что это травление используется для исправления некоторых случайных дефектов, возникающих при переносе [6].
Еще одно новшество в технологии травления заключается в изменении угла между 300-мм пластиной и источником плазмы. Так называемое боковое или угловое травление позволяет сократить расстояние между краями элементов ИС без использования по отдельности этапов экспонирования специального шаблона и травления. Такое травление также может уменьшить вариабельность расстояний между краями элементов ИС, тем самым повышая выход годных [4].
Японская корпорация TEL разработала процесс направленной модификации критических размеров с использованием нового источника травления с наклоном относительно обрабатываемой пластины. Изменяя угол наклона в системе, можно регулировать скорость бокового травления для получения овалов или других структур без необходимости применения методики двойного формирования рисунка. Новый источник также предназначен для сокращения дефектов образования локаций непроявленного резиста и шероховатости (неравномерности) структуры рисунка [3].
Но более существенным результатом применения таких систем может быть уменьшение дефектов шероховатости и стохастических явлений (которые невозможно устранить другими способами) при одновременном обеспечении возможности расширения формирования топологических элементов по оси Y. Луч углового травления применяется для сокращения промежутков между краями токопроводящих линий и уменьшения шероховатости структуры рисунка. Специалисты IMEC, также разрабатывающие подобные системы, называют эту методику «транс-паттернированием» (trans-patterning). Потенциально ее можно использовать для растягивания контактного отверстия в овальную структуру или даже
в линию. При этом не только изменяется форма элементов структуры, но и сокращается шаг между ними – наподобие игрушек-трансформеров (отсюда и название). И это только начало исследований, связанных с преобразованием структур рисунков, поскольку ценность
и осуществимость этого подхода все еще находятся в стадии изучения с точки зрения технологического процесса, соответствия механизма коррекции эффекта оптической близости и экономической эффективности [7].
Еще одну модификацию процесса обработки резиста продемонстрировала корпорация LAM Research. Она включает в себя использование слоя селективной пассивации с последующим травлением для уменьшения LER и LWR. Описан случай удаления небольших пятен непроявленного резиста у основания линий. Подобные дефекты устраняются благодаря использованию нового источника травления и нанесению пассивирующего слоя, который хорошо прилегает к полностью сформированным линиям резиста, но плохо к участкам непроявленного резиста. Процесс пассивации также помогает сгладить дефекты LER и LWR [6].
Корпорация TEL представила результаты работ с нанесением MOR на поверхность методом центрифугирования. Было продемонстрировано, что (особенно с новым химическим составом проявителя) можно улучшить окно процесса и уменьшить неравномерности на структурах токопроводящих линий и изолирующих промежутках с шагом 24 нм. По сравнению с CAR-резистами, MOR также обладает большей устойчивостью к разрушению рисунка при меньшем размере топологических элементов. Однако, тонкий резист, необходимый для EUV-литографии с высоким значением NA, легче подвержен стохастическим дефектам [3].
Отмечается, что лежащий под EUV-резистом слой играет решающую роль в масштабируемости процесса. Для облегчения переноса рисунка с шаблона на пластину подлежащие слои должны обеспечивать более высокую стойкость к травлению, чем их предшественники – еще и при утонении этих слоев. Кроме того, подложка должна хорошо прилегать к твердым маскам и силикону, обеспечивая при этом широкое окно процесса без увеличения дефектности [4].
Криволинейные структуры
Вполне вероятно, что возникнет потребность в криволинейных структурах, так как дальнейшее масштабирование полупроводниковых приборов требует сокращения длины соединений между ними, а также между входящими в них элементами. Преимущество криволинейных структур по сравнению с другими структурами в том, что они обеспечивают более короткие связи между слоями и линиями в одной плоскости.
Специалисты IMEC на основе своих работ утверждают, что использование криволинейных форм при проектировании может снизить издержки производства и повысить мощность и производительность кристалла ИС. Кроме того, они планируют использовать криволинейные конструкции для увеличения плотности размещения транзисторов. Разработан метод использования криволинейного проектирования в стандартной ячейке с использованием конструктивных решений, таких как применение проектных норм и поиск решений [7].
Доступность практически неограниченных вычислительных ресурсов обеспечивает процесс формирования EUV-шаблонов. При использовании многолучевых установок формирования шаблонов значительно ускоряются процессы коррекции эффекта оптической близости. Криволинейный шаблон может быть сформирован за то же время, что и ортогональный.
Эксперты указывают, что отрасль, возможно, приближается к переломному моменту в разработке криволинейных рисунков шаблонов, сокращающих расстояния между межсоединениями и потенциально снижающих издержки производства. Криволинейные узоры уже сегодня используются в производственных шаблонах как для 193-нм иммерсионной, так и для EUV-литографии.
Однако разные компании используют их по-разному. Некоторые используют их только в тех горячих точках на кристалле ИС, где это необходимо.
Полная реализация криволинейных рисунков в кристаллах/на пластинах будет обеспечена благодаря стандартной интеграции инструментальных средств САПР.
Но сначала необходимо сформулировать общепринятый подход к измерению воспроизводимости криволинейных линий. В случае программных средств коррекции необходимо внести коррективы, обеспечивающие получение фактической формы такой, какой она была спроектирована.
Тем не менее, заводы по обработке пластин продвигаются вперед. На недавнем техническом форуме корпорации Synopsys крупнейший в мире кремниевый завод (контрактное изготовление ИС), корпорация TSMC, представила обширную инфраструктуру графических процессоров, их большое число, а также планы по дальнейшему расширению возможностей в этой области благодаря преимуществам графических процессоров, особенно для приборов, изготовляемых с применением шаблонов с криволинейными рисунками [4].
***
В области новейших технологических процессов и минимальных проектных норм наступает интересное. Появляется возможность формировать и контролировать 10-нм топологические элементы. Что касается технологических уровней от 18 до 14 Å, то EUV-литография с NA = 0,33 по всей видимости будет использоваться как можно дольше за счет применения методик многократного формирования рисунка, а также благодаря многочисленным эволюционным достижениям в области инструментальных средств, материалов и шаблонов, синергетически применяемых для формирования структур рисунков и травления.
Похоже, полупроводниковая промышленность вскоре внедрит новые платформы резистов, основанные на использовании MOR, подлежащих им слоев и процессах проявления – жидкостных, сухих или и тех, и других. Эти платформы будут применяться совместно с новыми платформами травления, что позволит и дальше уменьшать критические размеры при одновременном сокращении числа стохастических явлений (дефектов).
В случае EUV-литографии с NA = 0,55 методика внутрикристальной «сшивки» находится в зачаточном состоянии. Это совершенно новая технология, основанная на использовании анаморфотных объективов и новых поглощающих материалов в шаблоне, как ожидается, расширит окно процесса. Похоже, что каждая разработка, повышающая производительность и пропускную способность без ухудшения других ключевых показателей, найдет свое применение в производстве.
ЛИТЕРАТУРА
Макушин М., Мартынов В. Производственные технологии микроэлектроники: проблемы развития. Часть 2 // ЭЛЕКТРОНИКА: Наука, Технология, Бизнес. 2020. №4 (00196). С. 120–127.
Макушин М. Микроэлектроника: развитие производственной базы, продажи оборудования
и EUV-литография // ЭЛЕКТРОНИКА: Наука, Технология, Бизнес. 2023. №3 (00224). C. 116–129.
EUV Lithography Market Valuation – 2024–2031 // Verified Market Research. Report ID: 9079. Published Date: Mar 2024.
Peters L. Key Technologies To Extend EUV To 14 Angstroms // Semiconductor Engineering. July 29th, 2024.
Sterling T. ASML's next chip challenge: rollout of its new $350 mln 'High NA EUV' machine //
Reuters. February 9. 2024.
Non Chemically Amplified EUV Resist Market, Global Outlook and Forecast 2024–2030 //
Grand research Store. Published On: 29 Mar 2024.
Imec demonstrates readiness of the High-NA EUV patterning ecosystem // IMEC. February 26, 2024.
Meli L. at all. EUV patterned gate variation reduction in next generation transistor architectures //
SPIE Digital Library. 10 April 2024.
Отзывы читателей